uint32_t gf100_pmu_data[] = { /* 0x0000: proc_kern */ 0x52544e49, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, /* 0x0058: proc_list_head */ 0x54534f48, 0x00000512, 0x000004af, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x584d454d, 0x0000075e, 0x00000750, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x46524550, 0x00000762, 0x00000760, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x5f433249, 0x00000b92, 0x00000a35, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x54534554, 0x00000bbb, 0x00000b94, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x454c4449, 0x00000bc7, 0x00000bc5, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, /* 0x0268: proc_list_tail */ /* 0x0268: time_prev */ 0x00000000, /* 0x026c: time_next */ 0x00000000, /* 0x0270: fifo_queue */ 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, /* 0x02f0: rfifo_queue */ 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, /* 0x0370: memx_func_head */ 0x00000001, 0x00000000, 0x00000551, /* 0x037c: memx_func_next */ 0x00000002, 0x00000000, 0x000005db, 0x00000003, 0x00000002, 0x000006a5, 0x00040004, 0x00000000, 0x000006c1, 0x00010005, 0x00000000, 0x000006de, 0x00010006, 0x00000000, 0x00000663, 0x00000007, 0x00000000, 0x000006e9, /* 0x03c4: memx_func_tail */ /* 0x03c4: memx_ts_start */ 0x00000000, /* 0x03c8: memx_ts_end */ 0x00000000, /* 0x03cc: memx_data_head */ 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, /* 0x0bcc: memx_data_tail */ /* 0x0bcc: memx_train_head */ 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, /* 0x0ccc: memx_train_tail */ /* 0x0ccc: i2c_scl_map */ 0x00001000, 0x00004000, 0x00010000, 0x00000100, 0x00040000, 0x00100000, 0x00400000, 0x01000000, 0x04000000, 0x10000000, /* 0x0cf4: i2c_sda_map */ 0x00002000, 0x00008000, 0x00020000, 0x00000200, 0x00080000, 0x00200000, 0x00800000, 0x02000000, 0x08000000, 0x20000000, /* 0x0d1c: i2c_ctrl */ 0x0000e138, 0x0000e150, 0x0000e168, 0x0000e180, 0x0000e254, 0x0000e274, 0x0000e764, 0x0000e780, 0x0000e79c, 0x0000e7b8, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, }; uint32_t gf100_pmu_code[] = { 0x039e0ef5, /* 0x0004: rd32 */ 0x07a007f1, 0xd00604b6, 0x04bd000e, 0xf001d7f0, 0x07f101d3, 0x04b607ac, 0x000dd006, /* 0x0022: rd32_wait */ 0xd7f104bd, 0xd4b607ac, 0x00ddcf06, 0x7000d4f1, 0xf1f21bf4, 0xb607a4d7, 0xddcf06d4, /* 0x003f: wr32 */ 0xf100f800, 0xb607a007, 0x0ed00604, 0xf104bd00, 0xb607a407, 0x0dd00604, 0xf004bd00, 0xd5f002d7, 0x01d3f0f0, 0x07ac07f1, 0xd00604b6, 0x04bd000d, /* 0x006c: wr32_wait */ 0x07acd7f1, 0xcf06d4b6, 0xd4f100dd, 0x1bf47000, /* 0x007f: nsec */ 0xf900f8f2, 0xf080f990, 0x84b62c87, 0x0088cf06, /* 0x008c: nsec_loop */ 0xb62c97f0, 0x99cf0694, 0x0298bb00, 0xf4069eb8, 0x80fcf11e, 0x00f890fc, /* 0x00a4: wait */ 0x80f990f9, 0xb62c87f0, 0x88cf0684, /* 0x00b1: wait_loop */ 0x02eeb900, 0xb90421f4, 0xadfd02da, 0x06acb804, 0xf0150bf4, 0x94b62c97, 0x0099cf06, 0xb80298bb, 0x1ef4069b, /* 0x00d5: wait_done */ 0xfc80fcdf, /* 0x00db: intr_watchdog */ 0x9800f890, 0x96b003e9, 0x2a0bf400, 0xbb9a0a98, 0x1cf4029a, 0x01d7f00f, 0x02dd21f5, 0x0ef494bd, /* 0x00f9: intr_watchdog_next_time */ 0x9b0a9815, 0xf400a6b0, 0x9ab8090b, 0x061cf406, /* 0x0108: intr_watchdog_next_time_set */ /* 0x010b: intr_watchdog_next_proc */ 0x809b0980, 0xe0b603e9, 0x68e6b158, 0xc61bf402, /* 0x011a: intr */ 0x00f900f8, 0x80f904bd, 0xa0f990f9, 0xc0f9b0f9, 0xe0f9d0f9, 0xf7f0f0f9, 0x0188fe00, 0x87f180f9, 0x84b605d0, 0x0088cf06, 0xf10180b6, 0xb605d007, 0x08d00604, 0xf004bd00, 0x84b60887, 0x0088cf06, 0xf40289c4, 0x0080230b, 0x58e7f09b, 0x98db21f4, 0x96b09b09, 0x110bf400, 0xb63407f0, 0x09d00604, 0x8004bd00, /* 0x017e: intr_skip_watchdog */ 0x89e49a09, 0x0bf40800, 0x8897f148, 0x0694b606, 0xc40099cf, 0x0bf4029a, 0xc0c7f12c, 0x06c4b604, 0xf900cccf, 0x48e7f1c0, 0x53e3f14f, 0x00d7f054, 0x034221f5, 0x07f1c0fc, 0x04b604c0, 0x000cd006, /* 0x01be: intr_subintr_skip_fifo */ 0x07f104bd, 0x04b60688, 0x0009d006, /* 0x01ca: intr_skip_subintr */ 0x89c404bd, 0x070bf420, 0xffbfa4f1, /* 0x01d4: intr_skip_pause */ 0xf44089c4, 0xa4f1070b, /* 0x01de: intr_skip_user0 */ 0x07f0ffbf, 0x0604b604, 0xbd0008d0, 0xfe80fc04, 0xf0fc0088, 0xd0fce0fc, 0xb0fcc0fc, 0x90fca0fc, 0x00fc80fc, 0xf80032f4, /* 0x0205: ticks_from_ns */ 0xf9c0f901, 0xcbd7f1b0, 0x00d3f000, 0x041321f5, 0x03e8ccec, 0xf400b4b0, 0xeeec120b, 0xd7f103e8, 0xd3f000cb, 0x1321f500, /* 0x022d: ticks_from_ns_quit */ 0x02ceb904, 0xc0fcb0fc, /* 0x0236: ticks_from_us */ 0xc0f900f8, 0xd7f1b0f9, 0xd3f000cb, 0x1321f500, 0x02ceb904, 0xf400b4b0, 0xe4bd050b, /* 0x0250: ticks_from_us_quit */ 0xc0fcb0fc, /* 0x0256: ticks_to_us */ 0xd7f100f8, 0xd3f000cb, 0xecedff00, /* 0x0262: timer */ 0x90f900f8, 0x32f480f9, 0x03f89810, 0xf40086b0, 0x84bd651c, 0xb63807f0, 0x08d00604, 0xf004bd00, 0x84b63487, 0x0088cf06, 0xbb9a0998, 0xe9bb0298, 0x03fe8000, 0xb60887f0, 0x88cf0684, 0x0284f000, 0xf0261bf4, 0x84b63487, 0x0088cf06, 0xf406e0b8, 0xe8b8090b, 0x111cf406, /* 0x02b8: timer_reset */ 0xb63407f0, 0x0ed00604, 0x8004bd00, /* 0x02c6: timer_enable */ 0x87f09a0e, 0x3807f001, 0xd00604b6, 0x04bd0008, /* 0x02d4: timer_done */ 0xfc1031f4, 0xf890fc80, /* 0x02dd: send_proc */ 0xf980f900, 0x05e89890, 0xf004e998, 0x89b80486, 0x2a0bf406, 0x940398c4, 0x80b60488, 0x008ebb18, 0x8000fa98, 0x8d80008a, 0x028c8001, 0xb6038b80, 0x94f00190, 0x04e98007, /* 0x0317: send_done */ 0xfc0231f4, 0xf880fc90, /* 0x031d: find */ 0xf080f900, 0x31f45887, /* 0x0325: find_loop */ 0x008a9801, 0xf406aeb8, 0x80b6100b, 0x6886b158, 0xf01bf402, /* 0x033b: find_done */ 0xb90132f4, 0x80fc028e, /* 0x0342: send */ 0x21f500f8, 0x01f4031d, /* 0x034b: recv */ 0xf900f897, 0x9880f990, 0xe99805e8, 0x0132f404, 0xf40689b8, 0x89c43d0b, 0x0180b603, 0x800784f0, 0xea9805e8, 0xfef0f902, 0xf0f9018f, 0x9402efb9, 0xe9bb0499, 0x18e0b600, 0x9803eb98, 0xed9802ec, 0x00ee9801, 0xf0fca5f9, 0xf400f8fe, 0xf0fc0131, /* 0x0398: recv_done */ 0x90fc80fc, /* 0x039e: init */ 0x17f100f8, 0x14b60108, 0x0011cf06, 0x010911e7, 0xfe0814b6, 0x17f10014, 0x13f000e0, 0x1c07f000, 0xd00604b6, 0x04bd0001, 0xf0ff17f0, 0x04b61407, 0x0001d006, 0x17f004bd, 0x0015f102, 0x1007f008, 0xd00604b6, 0x04bd0001, 0x011a17f1, 0xfe0013f0, 0x31f40010, 0x0117f010, 0xb63807f0, 0x01d00604, 0xf004bd00, /* 0x0402: init_proc */ 0xf19858f7, 0x0016b001, 0xf9fa0bf4, 0x58f0b615, /* 0x0413: mulu32_32_64 */ 0xf9f20ef4, 0xf920f910, 0x9540f930, 0xd29510e1, 0xbdc4bd10, 0xc0edffb4, 0xb9301dff, 0x34f10234, 0x34b6ffff, 0x1045b610, 0xbb00c3bb, 0xe2ff01b4, 0x0234b930, 0xffff34f1, 0xb61034b6, 0xc3bb1045, 0x01b4bb00, 0xbb3012ff, 0x40fc00b3, 0x20fc30fc, 0x00f810fc, /* 0x0464: host_send */ 0x04b017f1, 0xcf0614b6, 0x27f10011, 0x24b604a0, 0x0022cf06, 0xf40612b8, 0x1ec4320b, 0x04ee9407, 0x0270e0b7, 0x9803eb98, 0xed9802ec, 0x00ee9801, 0x034221f5, 0xc40110b6, 0x07f10f1e, 0x04b604b0, 0x000ed006, 0x0ef404bd, /* 0x04ad: host_send_done */ /* 0x04af: host_recv */ 0xf100f8ba, 0xf14e4917, 0xb8525413, 0x0bf406e1, /* 0x04bd: host_recv_wait */ 0xcc17f1aa, 0x0614b604, 0xf10011cf, 0xb604c827, 0x22cf0624, 0x0816f000, 0xf40612b8, 0x23c4e60b, 0x0434b607, 0x02f030b7, 0x80033b80, 0x3d80023c, 0x003e8001, 0xf00120b6, 0x07f10f24, 0x04b604c8, 0x0002d006, 0x27f004bd, 0x0007f040, 0xd00604b6, 0x04bd0002, /* 0x0512: host_init */ 0x17f100f8, 0x14b60080, 0x7015f110, 0xd007f102, 0x0604b604, 0xbd0001d0, 0x8017f104, 0x1014b600, 0x02f015f1, 0x04dc07f1, 0xd00604b6, 0x04bd0001, 0xf10117f0, 0xb604c407, 0x01d00604, 0xf804bd00, /* 0x0551: memx_func_enter */ 0x2067f100, 0x5d77f116, 0xff73f1f5, 0x026eb9ff, 0xb90421f4, 0x87fd02d8, 0xf960f904, 0xfcd0fc80, 0x3f21f4e0, 0xfffe77f1, 0xffff73f1, 0xf4026eb9, 0xd8b90421, 0x0487fd02, 0x80f960f9, 0xe0fcd0fc, 0xf13f21f4, 0xb926f067, 0x21f4026e, 0x02d8b904, 0xf90487fd, 0xfc80f960, 0xf4e0fcd0, 0x67f03f21, 0xe007f104, 0x0604b607, 0xbd0006d0, /* 0x05bd: memx_func_enter_wait */ 0xc067f104, 0x0664b607, 0xf00066cf, 0x0bf40464, 0x2c67f0f3, 0xcf0664b6, 0x06800066, /* 0x05db: memx_func_leave */ 0xf000f8f1, 0x64b62c67, 0x0066cf06, 0xf0f20680, 0x07f10467, 0x04b607e4, 0x0006d006, /* 0x05f6: memx_func_leave_wait */ 0x67f104bd, 0x64b607c0, 0x0066cf06, 0xf40464f0, 0x67f1f31b, 0x77f126f0, 0x73f00001, 0x026eb900, 0xb90421f4, 0x87fd02d8, 0xf960f905, 0xfcd0fc80, 0x3f21f4e0, 0x162067f1, 0xf4026eb9, 0xd8b90421, 0x0587fd02, 0x80f960f9, 0xe0fcd0fc, 0xf13f21f4, 0xf00aa277, 0x6eb90073, 0x0421f402, 0xfd02d8b9, 0x60f90587, 0xd0fc80f9, 0x21f4e0fc, /* 0x0663: memx_func_wait_vblank */ 0x9800f83f, 0x66b00016, 0x130bf400, 0xf40166b0, 0x0ef4060b, /* 0x0675: memx_func_wait_vblank_head1 */ 0x2077f12e, 0x070ef400, /* 0x067c: memx_func_wait_vblank_head0 */ 0x000877f1, /* 0x0680: memx_func_wait_vblank_0 */ 0x07c467f1, 0xcf0664b6, 0x67fd0066, 0xf31bf404, /* 0x0690: memx_func_wait_vblank_1 */ 0x07c467f1, 0xcf0664b6, 0x67fd0066, 0xf30bf404, /* 0x06a0: memx_func_wait_vblank_fini */ 0xf80410b6, /* 0x06a5: memx_func_wr32 */ 0x00169800, 0xb6011598, 0x60f90810, 0xd0fc50f9, 0x21f4e0fc, 0x0242b63f, 0xf8e91bf4, /* 0x06c1: memx_func_wait */ 0x2c87f000, 0xcf0684b6, 0x1e980088, 0x011d9800, 0x98021c98, 0x10b6031b, 0xa421f410, /* 0x06de: memx_func_delay */ 0x1e9800f8, 0x0410b600, 0xf87f21f4, /* 0x06e9: memx_func_train */ /* 0x06eb: memx_exec */ 0xf900f800, 0xb9d0f9e0, 0xb2b902c1, /* 0x06f5: memx_exec_next */ 0x00139802, 0xe70410b6, 0xe701f034, 0xb601e033, 0x30f00132, 0xde35980c, 0x12b855f9, 0xe41ef406, 0x98f10b98, 0xcbbbf20c, 0xc4b7f102, 0x06b4b607, 0xfc00bbcf, 0xf5e0fcd0, 0xf8034221, /* 0x0731: memx_info */ 0x01c67000, /* 0x0737: memx_info_data */ 0xf10e0bf4, 0xf103ccc7, 0xf40800b7, /* 0x0742: memx_info_train */ 0xc7f10b0e, 0xb7f10bcc, /* 0x074a: memx_info_send */ 0x21f50100, 0x00f80342, /* 0x0750: memx_recv */ 0xf401d6b0, 0xd6b0980b, 0xd80bf400, /* 0x075e: memx_init */ 0x00f800f8, /* 0x0760: perf_recv */ /* 0x0762: perf_init */ 0x00f800f8, /* 0x0764: i2c_drive_scl */ 0xf40036b0, 0x07f1110b, 0x04b607e0, 0x0001d006, 0x00f804bd, /* 0x0778: i2c_drive_scl_lo */ 0x07e407f1, 0xd00604b6, 0x04bd0001, /* 0x0786: i2c_drive_sda */ 0x36b000f8, 0x110bf400, 0x07e007f1, 0xd00604b6, 0x04bd0002, /* 0x079a: i2c_drive_sda_lo */ 0x07f100f8, 0x04b607e4, 0x0002d006, 0x00f804bd, /* 0x07a8: i2c_sense_scl */ 0xf10132f4, 0xb607c437, 0x33cf0634, 0x0431fd00, 0xf4060bf4, /* 0x07be: i2c_sense_scl_done */ 0x00f80131, /* 0x07c0: i2c_sense_sda */ 0xf10132f4, 0xb607c437, 0x33cf0634, 0x0432fd00, 0xf4060bf4, /* 0x07d6: i2c_sense_sda_done */ 0x00f80131, /* 0x07d8: i2c_raise_scl */ 0x47f140f9, 0x37f00898, 0x6421f501, /* 0x07e5: i2c_raise_scl_wait */ 0xe8e7f107, 0x7f21f403, 0x07a821f5, 0xb60901f4, 0x1bf40142, /* 0x07f9: i2c_raise_scl_done */ 0xf840fcef, /* 0x07fd: i2c_start */ 0xa821f500, 0x0d11f407, 0x07c021f5, 0xf40611f4, /* 0x080e: i2c_start_rep */ 0x37f0300e, 0x6421f500, 0x0137f007, 0x078621f5, 0xb60076bb, 0x50f90465, 0xbb046594, 0x50bd0256, 0xfc0475fd, 0xd821f550, 0x0464b607, /* 0x083b: i2c_start_send */ 0xf01f11f4, 0x21f50037, 0xe7f10786, 0x21f41388, 0x0037f07f, 0x076421f5, 0x1388e7f1, /* 0x0857: i2c_start_out */ 0xf87f21f4, /* 0x0859: i2c_stop */ 0x0037f000, 0x076421f5, 0xf50037f0, 0xf1078621, 0xf403e8e7, 0x37f07f21, 0x6421f501, 0x88e7f107, 0x7f21f413, 0xf50137f0, 0xf1078621, 0xf41388e7, 0x00f87f21, /* 0x088c: i2c_bitw */ 0x078621f5, 0x03e8e7f1, 0xbb7f21f4, 0x65b60076, 0x9450f904, 0x56bb0465, 0xfd50bd02, 0x50fc0475, 0x07d821f5, 0xf40464b6, 0xe7f11811, 0x21f41388, 0x0037f07f, 0x076421f5, 0x1388e7f1, /* 0x08cb: i2c_bitw_out */ 0xf87f21f4, /* 0x08cd: i2c_bitr */ 0x0137f000, 0x078621f5, 0x03e8e7f1, 0xbb7f21f4, 0x65b60076, 0x9450f904, 0x56bb0465, 0xfd50bd02, 0x50fc0475, 0x07d821f5, 0xf40464b6, 0x21f51b11, 0x37f007c0, 0x6421f500, 0x88e7f107, 0x7f21f413, 0xf4013cf0, /* 0x0912: i2c_bitr_done */ 0x00f80131, /* 0x0914: i2c_get_byte */ 0xf00057f0, /* 0x091a: i2c_get_byte_next */ 0x54b60847, 0x0076bb01, 0xf90465b6, 0x04659450, 0xbd0256bb, 0x0475fd50, 0x21f550fc, 0x64b608cd, 0x2b11f404, 0xb60553fd, 0x1bf40142, 0x0137f0d8, 0xb60076bb, 0x50f90465, 0xbb046594, 0x50bd0256, 0xfc0475fd, 0x8c21f550, 0x0464b608, /* 0x0964: i2c_get_byte_done */ /* 0x0966: i2c_put_byte */ 0x47f000f8, /* 0x0969: i2c_put_byte_next */ 0x0142b608, 0xbb3854ff, 0x65b60076, 0x9450f904, 0x56bb0465, 0xfd50bd02, 0x50fc0475, 0x088c21f5, 0xf40464b6, 0x46b03411, 0xd81bf400, 0xb60076bb, 0x50f90465, 0xbb046594, 0x50bd0256, 0xfc0475fd, 0xcd21f550, 0x0464b608, 0xbb0f11f4, 0x36b00076, 0x061bf401, /* 0x09bf: i2c_put_byte_done */ 0xf80132f4, /* 0x09c1: i2c_addr */ 0x0076bb00, 0xf90465b6, 0x04659450, 0xbd0256bb, 0x0475fd50, 0x21f550fc, 0x64b607fd, 0x2911f404, 0x012ec3e7, 0xfd0134b6, 0x76bb0553, 0x0465b600, 0x659450f9, 0x0256bb04, 0x75fd50bd, 0xf550fc04, 0xb6096621, /* 0x0a06: i2c_addr_done */ 0x00f80464, /* 0x0a08: i2c_acquire_addr */ 0xb6f8cec7, 0xe0b702e4, 0xee980d1c, /* 0x0a17: i2c_acquire */ 0xf500f800, 0xf40a0821, 0xd9f00421, 0x3f21f403, /* 0x0a26: i2c_release */ 0x21f500f8, 0x21f40a08, 0x03daf004, 0xf83f21f4, /* 0x0a35: i2c_recv */ 0x0132f400, 0xb6f8c1c7, 0x16b00214, 0x3a1ff528, 0xf413a001, 0x0032980c, 0x0ccc13a0, 0xf4003198, 0xd0f90231, 0xd0f9e0f9, 0x000067f1, 0x100063f1, 0xbb016792, 0x65b60076, 0x9450f904, 0x56bb0465, 0xfd50bd02, 0x50fc0475, 0x0a1721f5, 0xfc0464b6, 0x00d6b0d0, 0x00b31bf5, 0xbb0057f0, 0x65b60076, 0x9450f904, 0x56bb0465, 0xfd50bd02, 0x50fc0475, 0x09c121f5, 0xf50464b6, 0xc700d011, 0x76bbe0c5, 0x0465b600, 0x659450f9, 0x0256bb04, 0x75fd50bd, 0xf550fc04, 0xb6096621, 0x11f50464, 0x57f000ad, 0x0076bb01, 0xf90465b6, 0x04659450, 0xbd0256bb, 0x0475fd50, 0x21f550fc, 0x64b609c1, 0x8a11f504, 0x0076bb00, 0xf90465b6, 0x04659450, 0xbd0256bb, 0x0475fd50, 0x21f550fc, 0x64b60914, 0x6a11f404, 0xbbe05bcb, 0x65b60076, 0x9450f904, 0x56bb0465, 0xfd50bd02, 0x50fc0475, 0x085921f5, 0xb90464b6, 0x74bd025b, /* 0x0b3b: i2c_recv_not_rd08 */ 0xb0430ef4, 0x1bf401d6, 0x0057f03d, 0x09c121f5, 0xc73311f4, 0x21f5e0c5, 0x11f40966, 0x0057f029, 0x09c121f5, 0xc71f11f4, 0x21f5e0b5, 0x11f40966, 0x5921f515, 0xc774bd08, 0x1bf408c5, 0x0232f409, /* 0x0b7b: i2c_recv_not_wr08 */ /* 0x0b7b: i2c_recv_done */ 0xc7030ef4, 0x21f5f8ce, 0xe0fc0a26, 0x12f4d0fc, 0x027cb90a, 0x034221f5, /* 0x0b90: i2c_recv_exit */ /* 0x0b92: i2c_init */ 0x00f800f8, /* 0x0b94: test_recv */ 0x05d817f1, 0xcf0614b6, 0x10b60011, 0xd807f101, 0x0604b605, 0xbd0001d0, 0x00e7f104, 0x4fe3f1d9, 0x6221f513, /* 0x0bbb: test_init */ 0xf100f802, 0xf50800e7, 0xf8026221, /* 0x0bc5: idle_recv */ /* 0x0bc7: idle */ 0xf400f800, 0x17f10031, 0x14b605d4, 0x0011cf06, 0xf10110b6, 0xb605d407, 0x01d00604, /* 0x0be3: idle_loop */ 0xf004bd00, 0x32f45817, /* 0x0be9: idle_proc */ /* 0x0be9: idle_proc_exec */ 0xb910f902, 0x21f5021e, 0x10fc034b, 0xf40911f4, 0x0ef40231, /* 0x0bfd: idle_proc_next */ 0x5810b6ef, 0xf4061fb8, 0x02f4e61b, 0x0028f4dd, 0x00bb0ef4, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, };